// Created by ihdl `timescale 1ns/10ps `celldefine module INVX4 (A, Y); input A ; output Y ; not (Y, A); specify // delay parameters specparam tplhl$A$Y = 0.033:0.033:0.033, tphlh$A$Y = 0.038:0.038:0.038; // path delays (A *> Y) = (tphlh$A$Y, tplhl$A$Y); endspecify endmodule `endcelldefine